How To And In Verilog