Vhdl Code For 4 Bit Full Adder